vem da je nakaj tem odprtih na drugem forumu, vendar si vseeno želim če bi tu našel koga, ki bi mi pomagal skozi začetke.
Problem 1.):
Na plati od Lattice - LC4256ZE-B-EVN sem hotel za "hello world" napravit utripanje LEDice.
- Koda: Izberi vse
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity blink is
port (
LED: out std_logic);
end;
architecture behavioral of blink is
begin
TEST: PROCESS
BEGIN
LED <= '1'; WAIT FOR 500 ns;
LED <= '0'; WAIT FOR 500 ns;
END PROCESS;
end behavioral;
Sinteza ne uspe, predvidevam da zato ker "WAIT FOR timeout" ni možno zgenerirati in je možno uporabiti le v simulaciji?